EDA實驗報告

2023-01-18 00:54:03 字數 2361 閱讀 9770

lcd模組介面電路設計(八行顯示)

課程名稱 eda技術

專業班級通訊工程一班

學號 201009110122

姓名於振超

一、實驗目的

1. 熟悉quartus ii軟體的使用及設計流程;

2. 用vhdl編寫**源程式並檢測脈衝的存在

二、實驗器材

pc機一台、紅色颶風二代開發板、usb線一根、usb—blaster、1602alcd顯示模組

三、實驗內容及要求

實現驅動1602alcd顯示模組顯示

(1)顯示模組與cpu的介面採用標準的8位微處理器介面,即d0~d7、nrd、nwr、nce以及c/d訊號當c/d為『1』時,選中指令通道,資料匯流排上的資料均為指令;當c/d為『0』時,選中資料通道,資料匯流排上的資料為寫入lcd或讀出的有效資料。

(2))完成全部流程:設計規範文件、模組設計、**輸入、功能**、約束與綜合、布局佈線、時序**、**驗證等。

四、實驗結果及分析

1、vhdl源程式:

-control:

process(lcd_clk,reset,current_state液晶驅動控制器

variable cnt1: std_logic_vector(2 downto 0);

begin

if reset='0'then

current_state<=set_dlnf;

cnt1:=(others => '1');

lcd_rs<='0';

elsif rising_edge(lcd_clk)then

current_state <= current_state ;

lcd_rs <= '0';

case current_state is

when set_dlnf=>

lcd_data<="00000001";--01h --

current_state<=set_cursor;

when set_cursor=>

lcd_data<="00111000";--38h

current_state<=set_dcb;

when set_dcb=>

lcd_data<="00001100";--0ch

current_state<=set_cgram;

when set_cgram=>

lcd_data<="00000110";--06h

current_state<=clc;

when clc=>

lcd_data<="00000001";--01h

current_state<=write_pos;

count0 <= 0;

when write_pos=>

lcd_rs <= '0';

lcd_data<="10000000";--80h

current_state<=w_data;

if count0< 78 then

count0<=count0+1;

else

count0<=0;

end if;

when w_data =>

lcd_rs<='1';

lcd_data<=cgram(count0+i);

i<=i+1;

if i= 16 then

current_state<=write_pos;

i<=0;

count3 <= 0;

else

current_state<=w_data;

end if;

when write_cgram=>

if count3<20000 then

count3<=count3+1;

lcd_rs <= '0';

else

if count0< 65 then

count0<=count0+1;

else

count0<=0;

end if;

count3<= 0;

lcd_rs<='0';

current_state<=write_pos;

end if;

end if;

when others => null;

end case;

end if;

end process;

end beh**ioral;

結果顯示

進行執行時,驅動在1602lcd顯示模組上顯示8行

心得體會

在實驗**現了許多問題,解決問題的過程,也就是學習新知識和鞏固舊知識的過程。有些問題沒懂,通過與同學老師的交流終於搞懂,在交流中對實驗知識的了解更全面,思維更開放。

EDA實驗報告

張佳興2220131738 電氣工程及其自動化1班 1 verilog語言反應硬體特性舉例 1.module cc clk,en,cout input output,這三個語句用verilog語言定義了乙個邏輯器件,module後邊括號內為埠名稱,每個埠都對應硬體的乙個引腳,引腳的輸入輸出性質都由i...

EDA實驗報告 16

和老師一起在eda技術知識的海洋中航行,不知不覺就乙個學期了,這段時間中,在老師的引導下,明白了現代電子設計的核心就是eda技術。它是依賴功能強大的計算機,在eda工具軟體平台上,對以硬體描述語言hdl為系統邏輯描述手段完成的設計檔案,自動地完成邏輯編譯 邏輯化簡 邏輯分割 邏輯綜合 結構綜合 布局...

EDA課程設計實驗報告

課程設計報告 課程名稱數字系統與邏輯設計 課題名稱 16 16點陣顯示 專業通訊工程 班級1181 學號 201113120107 姓名肖浪 指導教師喬匯東吳德建 2013年 7月 2日 湖南工程學院 課程設計任務書 課程名稱數字系統與邏輯設計 課題 16 16點陣顯示 專業班級通訊工程1181 學...