eda實驗報告 Lab8

2022-09-23 18:12:03 字數 626 閱讀 3260

仲愷農業工程學院實驗報告紙

資訊科學與技術學院 (院) 通訊專業 1 班 1 組 eda技術及應用術課

學號 201110324101 姓名張競實驗日期 2013-4-16 教師評定

實驗八一、實驗目的

1、熟悉元器件封裝編輯器;

2、掌握如何製作新的元器件封裝。

二、實驗內容

1.練習建立乙個新的元器件封裝庫作為自己的專用庫,元器件庫的檔名為並把下面要建立的新元器件封裝放置到該元器件庫中。

2.利用protel99 se 提供的工具,按照實際的尺寸繪製元器件封裝。

3.練習元器件封裝引數設定。

三、實驗裝置

1、protel軟體;

2、pc機。

四、實驗步驟

1.放置焊盤,並對焊盤進行屬性編輯

執行選單命令:【place】|【pad】,在放置焊盤時,按tab 鍵進入焊盤屬性對話方塊,設定焊盤的屬性。參考實驗指導書。

2.利用protel99 se 提供的工具繪製元件封裝的輪廓線

3.練習對元件封裝重新命名。

4.練習設定元器件封裝的參考點。

執行選單命令:【edit】|【set reference】

五、實驗總結

EDA實驗報告

張佳興2220131738 電氣工程及其自動化1班 1 verilog語言反應硬體特性舉例 1.module cc clk,en,cout input output,這三個語句用verilog語言定義了乙個邏輯器件,module後邊括號內為埠名稱,每個埠都對應硬體的乙個引腳,引腳的輸入輸出性質都由i...

EDA實驗報告

lcd模組介面電路設計 八行顯示 課程名稱 eda技術 專業班級通訊工程一班 學號 201009110122 姓名於振超 一 實驗目的 1.熟悉quartus ii軟體的使用及設計流程 2.用vhdl編寫 源程式並檢測脈衝的存在 二 實驗器材 pc機一台 紅色颶風二代開發板 usb線一根 usb b...

EDA實驗報告 16

和老師一起在eda技術知識的海洋中航行,不知不覺就乙個學期了,這段時間中,在老師的引導下,明白了現代電子設計的核心就是eda技術。它是依賴功能強大的計算機,在eda工具軟體平台上,對以硬體描述語言hdl為系統邏輯描述手段完成的設計檔案,自動地完成邏輯編譯 邏輯化簡 邏輯分割 邏輯綜合 結構綜合 布局...