EDA實驗報告 16

2022-11-27 10:18:06 字數 1702 閱讀 8081

和老師一起在eda技術知識的海洋中航行,不知不覺就乙個學期了,這段時間中,在老師的引導下,明白了現代電子設計的核心就是eda技術。它是依賴功能強大的計算機,在eda工具軟體平台上,對以硬體描述語言hdl為系統邏輯描述手段完成的設計檔案,自動地完成邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合、結構綜合(布局佈線),以及邏輯優化和**測試,直到實現既定的電子線路系統功能的一種技術。繼續深入學習的過程中,了解到eda技術實現的最終目標是完成專用積體電路asic的設計和實現,asic作為最終的物理平台,集中容納了使用者通過eda技術將電子應用系統的既定功能和技術指標具體實現的硬體實體。

本學期的學習,老師把理論與實踐教育結合在一起,使得這門課程的學習變得輕鬆了許多。上課不再僅僅是枯燥的理論知識的灌輸。老師在上實驗課的同時,加入了許多有趣的例子和問題,課堂氣氛輕鬆和活躍。

使本來以任務為學習的動機變成了以自己的興趣去學習eda技術。實驗課是老師輔導,學生討論的方式進行的。同學們的討論熱情高漲,自己在聆聽受益的同時,也提高自身的與人溝通和交往的能力。

老師把eda技術的基礎知識在理論課上進行講解後,就開始上實驗課了,實驗課主要是講授了四個內容:

1. 組合邏輯與時序邏輯電路的vhdl模型實驗

2. 鍵盤掃瞄顯示實驗

3. 有限狀態機的設計——0809 a/d轉換實驗

4. 具有**報點的數字鐘實驗

在這四個實驗的過程中,我學習到了很多知識,例如:

熟悉altera公司cpld/fpga設計開發系統max+plus ii 10.2的使用;認識並熟悉zy11eda13be型eda技術實驗開發系統;了解實驗系統核心晶元ep1k30qc208的效能和特點;掌握採用max+plus ii 10.2進行設計專案開發的整個流程;初步掌握基於cpld/fpga的vdhl設計;掌握組合邏輯和時序邏輯電路的設計方法。

掌握組合邏輯電路的靜態測試方法;加深fpga設計的過程,並比較原理圖輸入和文字輸入的優劣;了解通用同步計數器,非同步計數器的使用方法。理解積分分頻器的原理;掌握組合邏輯電路和時序邏輯電路的混和設計;了解時序電路fpga的實現;學習用vhdl語言來描述時序電路的過程;掌握cpld技術的層次化設計方法;掌握十進位制、六十進位制、二十**制計數器的設計方法;了解和掌握分頻電路實現的方法等等。

eda主要是學習vhdl語言程式編寫,把設計思想通過程式語言表達出來。vhdl主要用於描述數字系統的結構,行為,功能和介面。除了含有許多具有硬體特徵的語句外,vhdl的語言形式和描述風格與句法是十分類似於一般的計算機高階語言。

vhdl的程式結構特點是將一項工程設計,或稱設計實體(可以是乙個元件,乙個電路模組或乙個系統)分成外部(或稱可是部分,及埠)和內部(或稱不可視部分),既涉及實體的內部功能和演算法完成部分。在對乙個設計實體定義了外部介面後,一旦其內部開發完成後,其他的設計就可以直接呼叫這個實體。這種將設計實體分成內外部分的概念是vhdl系統設計的基本點。

vhdl語言的學習不是太難,與我本來學過的c語言有很大的相似。只要熟悉了一些新的操作符及一些標準邏輯型別等等就比較容易上手了。

eda課程的學習正如在知識海洋的航行,雖然遇到很多難關,但總算順利。在老師的幫助下對課本知識有了更深刻的理解,更提高了vhdl語言編寫、除錯的能力;掌握了常用晶元的一些基本的常用的運用方法、工作原理和相關程式設計技巧等等。不僅學到了知識,還感受到和老師和同學一起討論問題的樂趣。

在這個過程中更認清了自己具體的不足的地方,並及時得到了補救。

學海無涯,雖然上了乙個學期的課,但越來越發覺自己所知原來是非常少的,如宇宙中的一顆微塵。學無止境,今後更要斷續在eda的知識海洋裡乘風破浪。

EDA實驗報告

張佳興2220131738 電氣工程及其自動化1班 1 verilog語言反應硬體特性舉例 1.module cc clk,en,cout input output,這三個語句用verilog語言定義了乙個邏輯器件,module後邊括號內為埠名稱,每個埠都對應硬體的乙個引腳,引腳的輸入輸出性質都由i...

EDA實驗報告

lcd模組介面電路設計 八行顯示 課程名稱 eda技術 專業班級通訊工程一班 學號 201009110122 姓名於振超 一 實驗目的 1.熟悉quartus ii軟體的使用及設計流程 2.用vhdl編寫 源程式並檢測脈衝的存在 二 實驗器材 pc機一台 紅色颶風二代開發板 usb線一根 usb b...

EDA課程設計實驗報告

課程設計報告 課程名稱數字系統與邏輯設計 課題名稱 16 16點陣顯示 專業通訊工程 班級1181 學號 201113120107 姓名肖浪 指導教師喬匯東吳德建 2013年 7月 2日 湖南工程學院 課程設計任務書 課程名稱數字系統與邏輯設計 課題 16 16點陣顯示 專業班級通訊工程1181 學...