vhdl期末考試複習題大全

2021-09-18 09:29:47 字數 3905 閱讀 2281

vhdl複習

一.問答題

1訊號賦值語句在什麼情況下作為並行語句?在什麼情況下作順序語句?訊號賦值和變數賦值符號分別是什麼?兩種賦值符號有什麼區別?

● 訊號賦值語句在程序外作並行語句,併發執行,與語句所處的位置無關。訊號賦值語句在程序內或子程式內做順序語句,按順序執行,與語句所處的位置有關。

● 訊號賦值符號為「<=」變數賦值用「:=」。訊號賦值符號用於訊號賦值動作,不立即生效。變數,賦值符號用於變數賦值動作,立即生效。

2程序的敏感訊號表指的是什麼?簡述敏感訊號表在程序中的作用?

● 程序的「敏感訊號表」也稱敏感表,是程序的啟用條件,可由乙個或多個訊號組成,各訊號間以「,」號分隔。當敏感訊號表中的任乙個訊號有事件發生,即發生任意變化,此時,程序被啟用,程序中的語句將從上到下逐句執行一遍,當最後一條語句執行完畢之後,程序即進入等待掛起狀態,直到下一次敏感表中的訊號有事件發生,程序再次被啟用,如此迴圈往復。

3什麼是庫、程式包、子程式、過程呼叫和函式呼叫?

● 庫和程式包用來描述和儲存元件、型別說明和子程式等,以便在其它設計中通過其目錄可查詢、呼叫。子程式由過程和函式組成。在子程式呼叫過程中,過程能返回多個變數,函式只能返回乙個變數。

若子程式呼叫的是乙個過程,就稱為過程呼叫,若子程式呼叫的是乙個函式,則稱為函式呼叫。過程呼叫、函式呼叫都是子程式呼叫。

二.改錯題

1.已知sel為std_logic_vector(1 downto 0)型別的訊號,而a、b、c、d、q均為std_logic型別的訊號,請判斷下面給出的case語句程式片段:

● case sel is

● when「00」=>q<=a;

● when「01」=>q<=b;

● when「10」=>q<=c;

● when「11」=>q<=d;

● end case;

● 答案:case語句缺「when others」語句。

2. 已知data_in1, data_in2為std_logic_vector(15 downto 0) 型別的輸入埠,data_out為std_logic_vector(15 downto 0)型別的輸出埠,add_sub為std_logic型別的輸入埠,請判斷下面給出的程式片段:

● library ieee;

● use ieee.std_logic_1164.all;

● entity add is

● port(data_in1, data_in2:in integer;

data_out:out integer);

● end add;

● archtecture add_arch of add is

● constant a:integer<=2;

● begin

● data_out<=( data_in1+ data_in2) * a;

● end addsub_arch;

答案:常量宣告時賦初值的「<=」符號應改用「:=」符號。

3. 已知q為std_logic型別的輸出埠,請判斷下面的程式片段:

● architecture test_arch of test is

● begin

● signal b:std_logic;

● q<= b;

end test_arch

答案:訊號signal的宣告語句應該放在begin語句之前。

4. 已知a和q均為bit型別的訊號,請判斷下面的程式片段:

● architecture archtest of test is

● begin

● case a is

● when 『0』=>q<=『1』;

● when 『1』=>q<=『0』;

● end case;

● end archtest;

答案:case語句應該存在於程序process內。

eda知識要點:

1、 目前流行的hdl語言有那些?;

2、 什麼是asic。

3、 vhdl是由什麼機構制定並公布的。

4、 vhdl的兩大類基本描述語句是什麼。

5、 max+plusⅱ平台上,原理圖、**波形檔案、vhdl檔案的副檔名是什麼?

6、 結構體常見的功能語句有那些?

7、 子程式分為那兩類,其結構為什麼。

8、 訊號與變數的賦值有何區別?。

9、 可程式設計器件分為哪些類?

10、 vhdl中常見的庫有那些?。

11、 不完整的條件語句與完整的條件語句生成的電路有何區別

12、 vhdl的識別符號由什麼構成。

13、 vhdl中預定義資料型別有那些?。

14、 case語句使用當中的注意事項。

15、 目前國際上較大的pld器件製造公司有那幾家公司。

16、 vhdl資料物件有什麼

17、 賦值語句分哪些類,分別寫出一句賦值語句。

18、 實現時序電路和邏輯組合電路分別用什麼語句實現,分別寫出他們的一般表式。

19、 簡述元件例化語句組成及語句格式。

20、 資料物件有哪些種,分別寫出定義這些資料物件的一般表述格式。

21、 簡述程序語句的使用要點?

22、 寫出vhdl常用的順序語句的名稱。

23、 簡述vhdl邏輯操作符的種類及所允許的運算元的資料型別。

24、 eda技術的含義。

25、 vhdl語言中的邏輯操作符有那些?

26、 目前較流行的整合eda開發環境(軟體)有那些?

27、 簡述eda技術的cpld/fpga的設計流程。

28、 寫出實體中的port語句結構並說明其作用。

29、 簡述eda技術經歷了那幾個發展階段。

30、 寫出元件例化語句語句格式,並說明其作用。

31、 試比較圖形輸入法和文字輸入法有何優缺點?

32、 結構體的語言格式與作用。

33、 寫出process語句結構的一般表達格式?

34、 eda技術常用的輸入方法有?

35、 什麼是實體和結構體,其功能是什麼?,

36、 max+pulsⅱ的編輯視窗有那幾種,分別是什麼?

37、 max+pulsⅱ的原理圖輸入法、文字輸入法、波形輸入法生成的擴充套件名為?

38、 vhdl的操作符有那幾大類?每一類的操作符分別是什麼?每一類操作符可以對那些資料進行操作(運算)?

39、 vhdl中如沒有特別的說明算術操作符『 + 』號對應的運算元為什麼型別

40、 可程式設計器件(pld)分為哪兩類

41、 標準邏輯位資料型別常用的數值有哪幾種?

42、 在vhdl語言中常見的的資料型別有那些?

43、 完整的條件語句將產生什麼電路,不完整的條件語句將產生什麼電路。

44、 訊號和變數有什麼區別?

45、 vhdl作為工業標準,是由那個機構制定並公布的。

46、 實體部分的埠模式有四個型別。

47、 從執行方式看vhdl的基本描述語句包括哪兩大基本描述語句?

48、 vhdl檔案存檔時,其主檔名應與實體名一致,副檔名應為什呢

49、 硬體描述語言(hdl)的種類很多?

50、 簡述元件例化語句的語句格式及關聯方法。

eda綜合設計設計題

1、用兩種以上的按照下圖設計乙個四選一多路選擇器

2、使用元件例化語句編寫下圖所示的頂層檔案,其中adder_1為乙個由原理圖輸入法設計的完整的設計實體。

3、已知4位全加器電路原理圖如下,請用元件例化語句編寫其程式。

4、用vhdl語言編寫下圖所示的七段顯示解碼器。

5、用vhdl設計乙個三位十進位制的,帶有使能控制埠enable、非同步清零埠rst、同步預置控制埠load和預置資料輸入埠date的計數器。

6、編寫乙個d觸發器的硬體描述語言程式,要求實現上公升沿觸發。

7、使用if語句設計乙個帶有非同步復位和置位、同步預置的4位2進製加法計數器。

期末考試複習題

一 填空 1 單位時間內通過橫截面的叫做電流強度,簡稱公式為 導體 電荷量 電流 2 電流的換算 1amaa。1000 103 1000000 106 3 電壓就是的差。電位4 電壓的換算 1vmvkv。1000 103 0.001 10 3 5 電路的三種狀態為和 通路 斷路 短路 6 電壓源內阻...

Linux期末考試複習題

一 填空題 1.在linux系統中,以檔案方式訪問裝置 2.linux核心引導時,從檔案 etc fstab 中讀取要載入的檔案系統。3.linux檔案系統中每個檔案用 i節點來標識。4.全部磁碟塊由四個部分組成,分別為引導塊 專用塊 i節點表塊和資料儲存塊。5.鏈結分為 硬鏈結和符號鏈結 6.超級...

建築材料期末考試複習題

建築工程專業 建築材料 期末考試試卷 一 名詞解釋 25 10分 1.混凝土的強度等級 2.石灰的陳伏 3.鋼材的時效處理 4.水泥石中毛細孔 5.木材的纖維飽和點 二 填空題 120 20分 1.材料的吸水性用表示,吸濕性用表示。2.當石灰已經硬化後,其中的過火石灰才開始熟化,體積引起。3.導熱係...