EDA實驗指導書1209

2023-01-18 22:45:02 字數 4813 閱讀 3799

實驗一quartusii軟體應用

一、實驗目的

1、熟悉eda開發平台的基本操作。

2、掌握eda開發工具的圖形設計方法。

3、掌握圖形設計的編譯與驗證方法。

二、實驗儀器

pc機一台 quartusii 軟體

三、實驗內容

1、實驗原理圖:建立乙個4-bit 計數器圖形設計檔案(如圖1.1示);

圖 1.1 圖形設計例圖

對上述計數器進行功能和時間**,驗證其功能並測試其最高工作頻率。

利用嚮導建立乙個新器件(6位全加器:使能、流水線等引數自行設定)。

2、實驗步驟:

新建乙個資料夾,一般在f盤裡。

開啟quartusii軟體,選擇file/new,在彈出的視窗中選device design files選項卡,再選擇block diagram/schematic 選項,單擊ok後開啟圖形編輯視窗。

選擇file/s**e as命令,儲存檔案在已經建立的資料夾裡。當出現詢問是否建立工程的視窗,應當單擊是進入建立工程流程,否則要重新建立工程把檔案新增進去。

開啟工程中的原理**件,在原理圖編輯視窗的任何乙個位置右擊,將出現快捷選單,選擇insert /symbol命令,出現元件輸入對話方塊,選擇相應的器件,並連線好電路,然後分別在input和output 的pin name上雙擊使其變黑色,再分別輸入引腳名。

選擇processing/start compilation命令,進行全程編譯。

開啟波形編輯器,選擇file/new,在new中選擇other files中的 vector w**eform file 選項,單擊ok,出現空白的波形編譯視窗

選擇file/s**e as命令,存檔。檔名一定要與原理**件名一致。

然後新增相應的埠訊號節點到波形編輯器中,設定合理的輸入訊號。

選擇processing/start simulation,進行波形**。

選擇tools/megawizard plug-in manager,根據嚮導提示建立乙個6位全加器。

3、實驗結果記錄:

列印出實驗原理圖與**波形圖,寫出最高工作頻率,列印出利用嚮導建立的新器件的圖形,完成實驗報告

四、實驗研究與思考

1、延遲時間分析、最高工作頻率分析等時間分析有何重要性?

2、流水線的作用是什麼?對那些效能有影響?

2、功能**、驗證起到什麼作用?

實驗二 vhdl軟體設計

一、實驗目的

1、熟悉eda開發平台的基本操作。

2、掌握eda開發工具的vhdl設計方法。

3、掌握硬體描述語言設計的編譯與驗證方法。

二、實驗儀器

pc機一台 quartusii 軟體

三、實驗內容

1、24進製加法計數器的程式:

library ieee;

useuseentity count24 is

port(en,clk: in std_logic;

qa: out std_logic_vector(3 downto 0);

個位數計數

qb: out std_logic_vector(1 downto 0));

十位數計數

end count24;

architecture a1 of count24 is

begin

process(clk)

variable tma: std_logic_vector(3 downto 0);

variable tmb: std_logic_vector(1 downto 0);

begin

if clk'event and clk='1' then

if en='1' then

if tma="1001" then tma:="0000";tmb:=tmb+1;

elsif tmb="10" and tma="0011" then tma:="0000";

tmb:="00";

else tma:=tma+1;

end if;

end if;

end if;

qa<=tma;

qb<=tmb;

end process;

end a1;

2、 實驗步驟:

1)24進製計數器

新建乙個資料夾,一般在f盤裡。

開啟quartusii軟體,選擇file/new,在彈出的視窗中選device design files選項卡,再選擇vhdl file 選項,單擊ok後開啟程式編輯視窗。

選擇file/s**e as命令,儲存檔案在已經建立的資料夾裡,檔名一定要與程式的實體名一致。當出現詢問是否建立工程的視窗,應當單擊是進入建立工程流程,否則要重新建立工程把檔案新增進去。

開啟工程中的程式檔案,把1中24進製加法計數器的程式輸入。

選擇processing/start compilation命令,進行全程編譯。

開啟波形編輯器,選擇file/new,在new中選擇other files中的 vector w**eform file 選項,單擊ok,出現空白的波形編譯視窗。

選擇file/s**e as命令,存檔。檔名一定要與原理**件名一致。

然後新增相應的埠訊號節點到波形編輯器中,設定合理的輸入訊號。

選擇processing/start simulation,進行波形**。

2)修改以上程式獲得60進製加法計數器,並進行功能和時間**驗證。

3、實驗結果記錄:

手寫出24進製與60進製的加法器的實驗程式,列印出**波形圖,完成實驗報告

四、實驗研究與思考

1、比較圖形設計和語言設計方法的差別和優缺點。

實驗三組合邏輯電路的vhdl描述

一、實驗目的

1、掌握組合邏輯電路的設計方法。

2、掌握組合邏輯電路的靜態測試方法。

3、熟悉fpga設計的過程,比較原理圖輸入和文字輸入的優劣。

二、實驗儀器

pc機一台 quartusii 軟體

三、實驗內容

1、用vhdl語言設計乙個四捨五入判別電路,其輸入為8421bcd碼,要求當輸入大於或等於5時,判別電路輸出為1,反之為0。參考電路原理圖如圖3.1所示。

圖3.1 四捨五入判別參考電路

2、用vhdl語言設計四個開關控制一盞燈的邏輯電路,要求改變任意開關的狀態能夠引起燈亮滅狀態的改變。(即任一開關的合斷改變原來燈亮滅的狀態,參考電路原理圖如圖3.2所示。)

圖3.2 燈控參考電路

3、用vhdl語言設計乙個優先排隊電路(參考電路原理圖如圖3.3所示),其中:a=1,最高優先順序;b=1,次高優先順序;c=1, 最低優先順序。

要求輸出端最多只能有一端為「1」,即只能是優先順序較高的輸入端所對應的輸出端為「1」。

圖3.3 優先排隊參考電路

4、自行設計紀錄方式,完成實驗報告

四、實驗研究與思考

1、cpld和fpga有什麼差別?設計中應該注意什麼問題?

2、圖形設計方法中採用lpm設計有什麼好處?

實驗四掃瞄顯示驅動電路

一、實驗目的

1、了解實驗系統中8位八段數碼管顯示模組的工作原理;

2、掌握計數器和位址發生器的vhdl描述方法;

3、掌握led數碼管7段解碼器的vhdl描述方法;

4、掌握編碼器的vhdl描述方法;

5、設計標準掃瞄驅動電路模組,以備後面實驗用。

二、實驗儀器

pc機一台 quartusii 軟體 eda實驗箱

三、實驗內容

圖4.1所示的是8位數碼掃瞄顯示電路,其中每個數碼管的8個段:h、g、f、e、d、c、b、a(h是小數點)都分別連在一起,8個數碼管分別由8個選通訊號k1、k2、…k8來選擇。

被選通的數碼管顯示資料,其餘關閉。如在某一時刻,k3為高電平,其餘選通訊號為低電平,這時僅k3對應的數碼管顯示來自段訊號端的資料,而其它7個數碼管呈現關閉狀態。根據這種電路狀況,如果希望在8個數碼管顯示希望的資料,就必須使得8個選通訊號k1、k2、…k8分別被單獨選通,並在此同時,在段訊號輸入口加上希望在該對應數碼管上顯示的資料,於是隨著選通訊號的掃變,就能實現掃瞄顯示的目的。

其vhdl示例程式如程式4.1所示。

圖4.1 8位數碼掃瞄顯示電路圖

1、實驗內容1:理解程式中各語句的含義,以及該例的整體功能。對該例進行編輯、編譯、綜合、適配、**,給出**波形。

實驗方式:若考慮小數點,sg的8個段分別與pio49、pio48、…、pio42(高位在右)、bt的8個位分別與pio34、pio35、…、pio41(高位在左);電路模式不限,引腳圖參考附圖11。將gw48eda系統左下方的撥碼開關全部向上撥,這時實驗系統的8個數碼管構成圖4.

1的電路結構,時鐘clk可選擇clock0,通過跳線選擇16384hz訊號。引腳鎖定後進行編譯、**和硬體測試實驗。將實驗過程和實驗結果寫進實驗報告。

2、自行設計紀錄方式,完成實驗報告

【例5.1】

library ieee;

useuseentity scan_led is

port ( clk : in std_logic;

sg : out std_logic_vector(6 downto 0); --段控制訊號輸出

bt : out std_logic_vector(7 downto 0) ); --位控制訊號輸出

end;

architecture one of scan_led is

signal cnt8 : std_logic_vector(2 downto 0);

signal a : integer range 0 to 15;

begin

p1:process( cnt8編碼器

begin

EDA技術實驗指導書

福建農林大學計算機與資訊學院 電子資訊工程系 eda技術 課程組 目錄 第一章 gw48 eda實驗開發系統的概要說明 1.1 gw48教學實驗系統原理與使用介紹3 1.2 實驗電路結構圖說明6 1.3實驗電路結構圖8 1.4 gw48ck pk2 pk3 pk4 系統萬能接插口與結構圖訊號 與晶元...

中職《EDA》實訓指導書新

eda 實訓指導書 班級姓名 時間 一周 地點 機房實訓室 指導老師 eda 實習教學大綱 實習名稱 eda 實習週數 一周 實習學期 第四學期 適用專業 電子專業 機電專業 先修課程 數位電路 模擬電路 protel99se教程 一 實訓性質 目的和任務 本次實訓是中等專業學校工科電子類專業的乙個...

實驗指導書

測樹學實驗 指導書 李鳳日編 二00五年三月 實驗一測樹工具的使用 一 目的 熟悉和掌握幾種常用的測樹工具的構造 原理及使用方法。二 儀器 用具 輪尺 圍尺 勃魯萊測高器 超聲波測高器 dqw 2型望遠測樹儀 二公尺測竿 記錄夾 記錄用表 計算工具。三 儀器的構造 原理及使用方法 一 測徑器 1 輪...