EDA技術實驗指導書

2022-08-17 00:03:04 字數 4334 閱讀 1547

福建農林大學計算機與資訊學院

電子資訊工程系《eda技術》課程組

目錄 第一章 gw48 eda實驗開發系統的概要說明

1.1 gw48教學實驗系統原理與使用介紹3

1.2 實驗電路結構圖說明6

1.3實驗電路結構圖8

1.4 gw48ck/pk2/pk3/pk4 系統萬能接插口與結構圖訊號/與晶元引腳對照表12

第二章 《eda技術》課程的實驗專案

實驗一、quartusii 9.0軟體的使用15

實驗二、用文字輸入法設計2選1多路選擇器16

實驗三、用文字輸入法設計7段數碼顯示解碼器17

實驗四、用原理圖輸入法設計8位全加器19

實驗五、樂曲硬體演奏電路的設計21

第一章 gw48 eda實驗開發系統的概要說明

1.1 gw48教學實驗系統原理與使用介紹

一、gw48系統使用注意事項 (使用者必讀!!!)

● 閒置不用gw48系統時,必須關閉電源!!!

● 在實驗中,當選中某種模式後,要按一下右側的復位鍵,以使系統進入該結構模式工作。注意此復位鍵僅對實驗系統的監控模組復位,而對目標器件fpga沒有影響,fpga本身沒有復位的概念,上電後即工作,在沒有配置前,fpga的i/o口是隨機的,故可以從數碼管上看到隨機閃動,配置後的i/o口才會有確定的輸出電平。

● 換目標晶元時要特別注意,不要插反或插錯,也不要帶電插拔,確信插對後才能開電源。其它介面都可帶電插拔。請特別注意,盡可能不要隨意插拔適配板,及實驗系統上的其他晶元。

● 未用到+/-12v時,請務必把右上角的開關關閉,指示燈亮時開,不亮時關。

康芯gw48系列eda裝置較以前有較大的改進,每個型號詳細說明在提供的關盤「系統特色及功能說明」資料夾裡。使用實驗系統前,查閱此資料夾.以下將詳述gw48系列sopc/eda實驗開發系統(gw48-pk2/pk3/pk4)結構與使用方法,對於這3種型號的共同之處將給予說明。

● multi-task reconfiguration電路結構(多功能重配置結構)

該電路結構能僅通過乙個鍵,完成純電子切換(有的產品只能通過許多機械開關手動切換)的方式選擇十餘種不同的實驗系統硬體電路連線結構,大大提高了實驗系統的連線靈活性,但又不影響系統的工作速度(手工插線方式雖然靈活,但會影響系統速度和電磁相容效能,不適合高速fpga/sopc等電子系統實驗設計)。該系統的實驗電路結構是可控的。即可通過控制介面鍵,使之改變連線方式以適應不同的實驗需要。

因而,從物理結構上看,實驗板的電路結構是固定的,但其內部的資訊流在主控器的控制下,電路結構將發生變化重配置。這種「多工重配置」設計方案的目的有3個:1、適應更多的實驗與開發專案;2、適應更多的pld公司的器件;3、適應更多的不同封裝的fpga和cpld器件。

系統板麵主要部件及其使用方法說明如下。以下是對gw48系統主機板功能塊的注釋。

「模式選擇鍵」:按動該鍵能使實驗板產生12種不同的實驗電路結構。這些結構如第二節的13 張實驗電路結構圖所示。

例如選擇了「no.3」圖,須按動系統板上此鍵,直至數碼管「模式指示」數碼管顯示「3」,於是系統即進入了no.3 圖所示的實驗電路結構。

圖 eda系統的標準插座及不同公司二次開發訊號圖

● fpga/cpld萬能插口

圖1是一塊插於主系統板上的目標晶元適配座。對於不同的目標晶元可配不同的適配座。可用的目標晶元包括目前世界上最大的六家fpga/cpld廠商幾乎所有cpld、fpga和所有isppac等模擬eda器件。

每個指令碼公司已經定義標準化,第七節的表中已列出多種晶元對系統板引腳的對應關係,以利在實驗時經常查用。

● byteblastermv程式設計配置口:此口有三個用途:

1、在對適配板fpga/cpld進行程式設計時,用十芯線板此口和適配板的「jtag」口相連。2、如果要進行獨立電子系統開發、應用系統開發、電子設計競賽等開發實踐活動,首先應該將系統板上的目標晶元適配座拔下(對於cyclone器件不用拔),用配置的10芯程式設計線將「byteblastermv」口和獨立系統上適配板上的「jtag」10芯口相接,進行在系統程式設計,進行除錯測試。「byteblastermv」口能對不同公司,不同封裝的cpld/fpga進行程式設計**。

3、對isp微控制器89s51等進行程式設計。用十芯線同「mcu dawnload」口相連。

● 混合工作電壓源:系統不必通過切換即可為cpld/fpga目標器件提供5v、3.3v、2.5v、1.8v和1.5v工作電源,此電源位置可參考圖1。

● 主系統電路控制說明

(1)鍵1~鍵8 :為實驗訊號控制鍵,此8個鍵受「多工重配置」電路控制,它在每一張電路圖中的功能及其與主系統的連線方式隨模式選擇鍵的選定的模式而變,使用中需參照第二節中的電路圖。

注意,鍵1至鍵8是由「多工重配置」電路結構控制的,所以鍵的輸出訊號沒有抖動問題,不需要在目標晶元的電路設計中加入消抖動電路,這樣,能簡化設計,迅速入門。

(2)數碼管1~8/發光管d1~d16 :受「多工重配置」電路控制,它們的連線形式也需參照第二節的電路圖。

(3)「時鐘頻率選擇」 :位於主系統的右小側,通過短路帽的不同接插方式,使目標晶元獲得不同的時鐘頻率訊號。

對於「clock0」,同時只能插乙個短路帽,以便選擇輸向「clock0」的一種頻率:訊號頻率範圍:0.

5hz–50mhz。由於clock0可選的頻率比較多,所以比較適合於目標晶元對訊號頻率或週期測量等設計專案的訊號輸入端。右側座分三個頻率源組,它們分別對應三組時鐘輸入端:

clock2、clock5、clock9。例如,將三個短路帽分別插於對應座的2hz、1024hz和12mhz,則clock2、clock5、clock9分別獲得上述三個訊號頻率。需要特別注意的是,每一組頻率源及其對應時鐘輸入端,分別只能插乙個短路帽。

也就是說最多只能提供4個時鐘頻率輸入fpga:clock0、clock2、clock5、clock9。

(4)揚聲器:與目標晶元的「speaker」端相接,通過此口可以進行奏樂或了解訊號的頻率,它與目標器件的具體引腳號,應該查閱第七節的**。

(5) ps/2介面:通過此介面,可以將pc機的鍵盤和/或滑鼠與gw48系統的目標晶元相連,從而完成ps/2通訊與控制方面的介面實驗,gw48-pk2/3含2個ps/2介面,引腳連線情況參見實驗電路結構 no.5(圖13)。

(6) vga**介面:通過它可完成目標晶元對vga顯示器的控制。詳細連線方式參考圖 13(對gw48-pk2/4主系統),(gw48-ck主系統圖11,pk3圖12)

(7)微控制器介面器件:它與目標板的連線方式也已標於主系統板上:pk3連線方式可參見圖16。

注1、gw48- pk3系統上的使用者微控制器89s51的各引腳是獨立的(時鐘已接12mhz),沒有和其他任何電路相連,在微控制器上端給出了4個14芯的座,第2、4座分別是微控制器的部分引腳,此微控制器剩餘引腳在其左邊以插針方式給出,第1、3座分別是fpga和20*4字元液晶的引腳,所有引腳號都在黑座旁標出,實驗時根據需要用提供的14芯線連線,如用微控制器控制液晶,就用此線將2和3口短接,或4和3口,用fpga控制液晶,就將1、3口連線。

(8)rs-232序列通訊介面:此介面電路是為fpga與pc通訊和sopc除錯準備的。或使pc機、微控制器、fpga/cpld三者實現雙向通訊。

對於gw48-pk2/3系統,其通訊埠是與中間的雙排插座上的tx30、rx31相連的。

詳細連線方式參考附圖13(對gw48pk2/3主系統),或附圖11(對gw48-ck主系統)。

(9)「aout」 d/a轉換 :利用此電路模組,用時口插在實驗板右下側,可以完成fpga/cpld目標晶元與d/a轉換器的介面實驗或相應的開發。它們之間的連線方式可參閱附圖7(實驗電路結構 no.

5):d/a的模擬訊號的輸出介面是「aout」,示波器可掛接左下角的兩個連線端。當使能撥碼開關8:

「濾波1」時,d/a的模擬輸出將獲得不同程度的濾波效果(針對老式pk2/3) 。

注意,進行d/a介面實驗時,需開啟系統上側的+/-12v電源開關(實驗結束後關上此電源!)。

(10)「ain0」/「ain1」:外界模擬訊號可以分別通過系統板左下側的兩個輸入端「ain0」和「ain1」進入a/d轉換器adc0809的輸入通道in0和in1,adc0809與目標晶元直接相連。通過適當設計,目標晶元可以完成對adc0809的工作方式確定、輸入埠選擇、資料採集與處理等所有控制工作,並可通過系統板提供的解碼顯示電路,將測得的結果顯示出來。

此項實驗首先需參閱第二節的「實驗電路結構no.5」有關0809與目標晶元的介面方式,同時了解系統板上的接插方法以及有關0809工作時序和引腳訊號功能方面的資料。

注意:不用0809時,需將左下角的撥碼開關的「a/d使能」和「轉換結束」打為禁止:向上撥,以避免與其他電路衝突。

adc0809 a/d轉換實驗接插方法(如,附圖13,實驗電路結構 no.5圖所示針對老式pk2/3):

1. 下側撥碼開關的「a/d使能」和「轉換結束」撥為使能:向下撥,即將enable(9)與pio35相接;若向上撥則禁止,即則使enable(9)0,表示禁止0809工作,使它的所有輸出端為高阻態。

EDA實驗指導書1209

實驗一quartusii軟體應用 一 實驗目的 1 熟悉eda開發平台的基本操作。2 掌握eda開發工具的圖形設計方法。3 掌握圖形設計的編譯與驗證方法。二 實驗儀器 pc機一台 quartusii 軟體 三 實驗內容 1 實驗原理圖 建立乙個4 bit 計數器圖形設計檔案 如圖1.1示 圖 1.1...

《供電技術》 實驗 實驗指導書

易曉鄭段俊東 河南理工大學電氣工程學院 2009年3月3日 目錄第一章 tkdzb 1型電力自動化及繼電保護實驗裝置電源操作說明 2 一 開啟三相交流電源的步驟2 二 開啟單相交流電源的步驟2 三 開啟直流操作電源的步驟2 第二章電力自動化及繼電保護實驗的基本要求和安全操作規程 3 一 實驗的基本要...

測試技術實驗指導書

測試技術基礎 實驗指導書 機械與汽車工程學院機械設計教研室 丁曙光 趙小勇 二o一一年十一月 實驗一電阻應變片的靈敏係數測定 一 實驗目的 1 掌握電阻應變片靈敏係數的一種測定方法。2 練習使用yjd 1靜動態電阻應變儀。二 實驗原理 1 電阻應變片的靈敏係數測定原理 當電阻應變片貼上在試件上受應變...