電梯控制器的設計

2023-01-27 15:33:02 字數 5806 閱讀 1633

(陝西理工學院電信工程系通訊班,陝西漢中 723003)

指導教師:

[摘要] 本文從現代資訊社會的現狀、需要及未來的發展趨勢出發,對eda(電子設計自動化)這一目前流行的電子設計技術中的核心技術——vhdl和verilog(超高速硬體描述語言)語言進行了深入的研究,結合科研和教學例項,研究它的應用。電梯是服務於規定樓層的固定公升降裝置,在樓層間自動載送乘客和貨物,給人們的生活帶來了很大的方便。利用eda技術設計的電梯控制器,將電梯控制程式**到eda開發板的晶元上。

用開發板實現電梯系統的控制。

[關鍵詞]電梯; eda開發板; 晶元

[中圖分類號] tn702 [文獻標誌碼] a

design of elevator controller

(grade ,major of communication engineering,dept. of shaanxi university of technology, hanzhong 723003,china)

tutor:

[abstract] in this *****, the modern information society status, needs and future development trend of starting on the eda (electronic design automation) this popular electronic design technology in the core technology – vhdl and verilog (ultra high-speed hardware description language) language conducted in-depth research, combining research and teaching examples, study its application. elevator is fixed lifting equipment servicing at the specified floor. it is a big convenience for people』 daily lives that elevator can transfer passengers and goods automatically.

designing the elevator controller by eda technical, which download the elevator control program to the chip of eda development. with development board realization of elevator system control.

[key words] elevator; eda development board ; chip

不要刪除行尾的分節符,此行不會被列印

1.緒論 2

1.1課題背景 ..2

2.設計方案 4

2.1系統設計功能 ..4

2.2微控制器方案 ..4

2.2.1 總體構思 4

2.2.2設計方框圖 5

2.3 fpga方案 ..6

2.3.1 fpga簡介 6

3.各模組** 7

3.1verilog和vhdl語言的特點 ..7

3.2控制模組 ..9

3.2.1verilog程式** ..9

3.2.2 顯示模組 16

3.4總體原理圖及** 18

4.除錯 22

4.1eda開發板p844簡介 22

4.1.1eda開發板軟硬體配置 23

4.2電梯控制器除錯 24

結論 26

致謝 27

參考文獻 28

附錄 29

1.緒論

很久之前,人們就使用一些原始的公升降工具運送人和貨物。西元前2023年前後,我國古人發明了轆轤,它採用捲筒的迴轉運動完成公升降動作,因而增加了提公升物品的高度。西元前236年,希臘數學家archimedes設計製作了由絞車和滑輪組構成的起重裝置。

這些公升降工具的驅動力一般是人力或畜力。19世紀初,在歐美開始用蒸汽機作為公升降工具的動力。2023年,威廉湯姆遜研製出1臺液壓驅動的公升降機,其液壓驅動的介質是水。

儘管公升降工具被一代代富有革新精神的工程師們進行不斷改進,然而被工業界普遍認可的公升降機仍未出現,直到2023年世界第1臺安全公升降機誕生。

2023年,公升降機開始採用電力驅動,真正出現了電梯。電梯在驅動控制技術方面的發展經歷了直流電機驅動控制,交流單速電機驅動控制,交流雙速電機驅動控制,直流有無齒輪、無齒輪調速驅動控制,交流調壓調速驅動控制,交流變壓變頻調速驅動控制,交流永磁同步電機變頻調速驅動控制等階段。

19世紀末,採用沃德-倫納德系統驅動控制的直流電梯出現,使電梯的執行效能明顯改善。20世紀初,開始出現交流感應電動機驅動的電梯,後來槽輪式(即曳引式)驅動的電梯代替了鼓輪捲筒式驅動的電梯,為長行程和具有高度安全性的現代電梯奠定了基礎。20世紀上半葉,直流調速系統在中、高速電梯中占有較大比例。

2023年,閘流體用於電梯驅動,交流調壓調速驅動控制的電梯出現。2023年,變壓變頻控制的電梯出現,由於其良好的調速效能、舒適感和節能等特點迅速成為電梯的主流產品。

2023年,交流永磁同步無齒輪曳引機驅動的無機房電梯出現,電梯技術又一次革新。由於曳引機和控制櫃置於井道中,省去了獨立機房,節約了建築成本,增加了大樓的有效面積,提高了大樓建築美學的設計自由度。這種電梯還具有節能、無油汙染、免維護和安全性高等特點。

電梯在操縱控制方式方面的發展經歷了手柄開關操縱、按鈕控制、訊號控制、集選控制等過程,對於多台電梯出現了併聯控制、智慧型群控。

如今,世界各國的電梯公司還在不斷地進行電梯新品的研發、維修保養服務系統的完善,力求滿足人們的對現代建築交通日益增長的需求。

2.設計方案

設計乙個八層樓房自動電梯控制器,用八個 led顯示電梯行進過程,並有數碼管顯示電梯當前所在樓層位置,在每層電梯入口處設有請求按鈕開關,請求按鈕按下則相應樓層的led 亮。

用 clk脈衝控制電梯運動,每來乙個 clk脈衝電梯公升(降)一層。電梯到達有請求的樓層後,該層次的指示燈滅,電梯門開啟(開門指示燈亮),開門 5 秒後,電梯門自動關閉,電梯繼續執行。

控制電路應能記憶所有樓層請求訊號,並按如下執行規則依次相應:執行過程中先響應最早的請求,再響應後續的請求。如果無請求則停留當前層。

如果有兩個同時請求訊號,則判斷請求訊號離當偍層的距離,距離近請求的先響應,再響應較遠的請求。每個請求訊號保留至執行後清除。

2.2.1 總體構思

本次設計的基本思想是採用at89c51微控制器作為核心,利用其豐富的i/o介面與外圍電路配合進行控制。採用定時器延時來控制電梯的位置校驗,採用8位led靜態顯示來實時顯示電梯所在樓層,並用74ls48來驅動led顯示。採用行列式鍵盤矩陣作為外呼內選電路,由於是8層樓,故選用4×4矩陣鍵盤。

當電梯到達目的樓層時電機停止,此時即可進、出乘客,乘客進入電梯之後可選擇去哪一層,然後電梯根據乘客的選擇判斷去哪一層,繼續執行。通過微控制器控制電梯在上公升過程中只響應上公升呼叫,下降過程中只響應下降呼叫。電梯的正常執行通過微控制器的控制來實現。

2.2.2設計方框圖

本電路主要由4大部分電路組成:鍵盤電路、微控制器最小系統電路、樓層顯示電路、延時電路。其中微控制器最小系統主要由復位電路和時鐘電路組成。

電路復位後樓層顯示數字1 表示電梯此時在一樓,顯示電路通過74ls48驅動8位數碼管顯示,電梯樓層位置是由延時電路控制的,延時電路包括3秒延時和5秒延時,每層之間通過3秒延時控制即每延時3秒表示電梯走了一層,5秒延時是控制電梯的開門時間,5秒延時後電梯關門繼續執行。電梯狀態是通過兩個發光管顯示的,紅燈亮表示電梯在向上執行,綠燈亮表示電梯在向下執行。鍵盤電路採用4×4鍵盤矩陣共16個按鍵分,其中8個按鍵是各層樓外呼按鍵。

電梯的正常工作是通過對微控制器寫入程式控制的。總體方框圖如圖1所示:

圖2.2-1總體方框圖

圖2.2-2微控制器控制電梯總體電路圖

89c51總體控制部分,用c語言寫程式,八個按鍵依次為樓層的請求訊號,74ls48輸出接數碼管,顯示當前樓層位置,74ls138接led燈,顯示電梯運動狀態。兩個單獨的led燈分別表示電梯門開關兩個狀態。

2. 3 fpga方案

2.3.1 fpga簡介

fpga由可程式設計邏輯單元陣列、佈線資源和可程式設計的i/o單元陣列構成,乙個fpga包含豐富的邏輯門、暫存器和i/o資源。一片fpga晶元就可以實現數百片甚至更多個標準數字積體電路所實現的系統。   fpga的結構靈活,其邏輯單元、可程式設計內部連線和i/o單元都可以由使用者程式設計,可以實現任何邏輯功能,滿足各種設計需求。

其速度快,功耗低,通用性強,特別適用於複雜系統的設計。使用fpga還可以實現動態配置、**系統重構(可以在系統執行的不同時刻,按需要改變電路的功能,使系統具備多種空間相關或時間相關的任務)及硬體軟化、軟體硬化等功能。鑑於高頻疲勞試驗機控制器控制規模比較大,功能複雜,故我們在研製過程中,在傳統試驗機控制器的基礎上,通過fpga技術及微機技術兩者的結合,來全面提公升控制器系統的效能,使整機的工作效率、控制精度和電氣系統可靠性得到了提高,且操作方便而又不乏技術的先進性。

設計思路總體思路:

電梯控制器由狀態顯示,主控制器,樓層選擇,解碼器,樓層顯示組成。乘客在電梯中選擇所要到達的樓層,通過主控制器的處理,電梯開始執行,狀態顯示器顯示電梯的執行狀態,電梯所在的樓層數通過解碼器解碼從而在樓層顯示器中顯示。

圖2.3-1電梯控制器總體圖

微控制器對硬體要求太高,fpga用軟體可以把微控制器中的硬體直接用軟體編譯出來,而且功能比較齊全。而且fpga可以在系統執行的不同時刻,按需要改變電路的功能,使系統具備多種空間相關或時間相關的任務及硬體軟化、軟體硬化等功能。通過比較並結合實際,我們決定用fpga方案完成本次課設。

3.各模組**

3.1 verilog和vhdl語言的特點

verilog hdl和vhdl都是用於邏輯設計的硬體描述語言,並且都已成為ieee標準。vhdl是在2023年成為ieee標準,verilog hdl則在2023年才正式成為ieee標準。之所以vhdl比verilog hdl早成為ieee標準,這是因為vhdl是美**方組織開發的,而verilog hdl 則是從乙個普通的民間公司的私有財產轉化而來,基於verilog hdl的優越性,才成為的ieee標準,因而有更強的生命力。

vhdl 其英文全名為vhsic hardware description language,而vhsic則是very high speed integerated circuit的縮寫詞,意為甚高速積體電路,故vhdl其準確的中文譯名為甚高速積體電路的硬體描述語言。verilog hdl和vhdl作為描述硬體電路設計的語言,其共同的特點在於:能形式化地抽象表示電路的行為和結構、支援邏輯設計中層次與範圍的描述、可借用高階語言的精巧結構來簡化電路行為的描述、具有電路**與驗證機制以保證設計的正確性、支援電路描述由高層到低層的綜合轉換、硬體描述與實現工藝無關(有關工藝引數可通過語言提供的屬性包括進去)、便於文件管理、易於理解和設計重用。

但是verilog hdl和vhdl又各有其自己的特點,由於verilog hdl早在2023年就已推出,至今已有近二十年的應用歷史,因而verilog hdl擁有更廣泛的設計群體,成熟的資源也遠比vhdl豐富。與vhdl相比verilog hdl的最大優點是:它是一種非常容易掌握的硬體描述語言,只要有c語言的程式設計基礎,通過二十學時的學習,再加上一段實際操作,一般讀者可在二至三個月內掌握這種設計技術。

而掌握vhdl設計技術就比較困難。這是因為vhdl不很直觀,需要有ada程式設計基礎,一般認為至少需要半年以上的專業培訓,才能掌握vhdl的基本設計技術。目前版本的verilog hdl和vhdl在行為級抽象建模的覆蓋範圍方面也有所不同。

一般認為verilog hdl在系統級抽象方面比vhdl略差一些,而在門級開關電路描述方面比vhdl強得多。根據vhdl語言和verilog語言的特點,電梯控制器的控制模組**用verilog語言,顯示模組用vhdl語言完成。

電梯控制器系統說明

電梯ic卡管理系統 使用手冊 v3.5 目錄第一章系統組成及功能介紹 3 1.1 系統構成 3 1.2 系統組成列表 3 1.3 功能列表 3 第二章控制器說明 5 2.1 控制器引數 5 2.2 介面說明 5 第三章安裝說明 8 3.1 控制器接線示意圖 8 3.2 系統安裝固定 9 3.3 發卡...

變壓器智慧型溫度控制器的設計

作者 李如雄 山東工業技術 2014年第17期 摘要 設計了一種以pic24fj64微控制器為核心的乾式變壓器溫度控制器,通過pt100熱敏電阻和風機實現了對變壓器溫度的實時監控和自動調節 在數碼管上巡迴顯示三相變壓器的溫度 風機故障自動檢測 掉電資料儲存等功能。關鍵詞 pic24fj64 pt10...

基於FPGA的模糊控制器的設計

現場可程式設計門陣列fpga是近年來發展迅速的大規模可程式設計邏輯器件,它具有設計周期短,片內資源豐富,可無限次載入和現場可程式設計等特點。在fpga上實現模糊控制器是一種介於專用積體電路 asic 和通用處理器之間的方案,具有電子產品的高速度 高可靠性 小型化 整合化 低功耗 保密性能好 具有自主...