基於VHDL語言的簡易洗衣機控制器

2022-10-18 05:24:03 字數 3570 閱讀 9845

電子課程設計

簡易洗衣機控制器設計

學院班級

姓名學號

指導老師

2023年12月

目錄第一部分:設計任務與要求1

第二部分:總體框圖1

第三部分:選擇器件2

第四部分:功能模組3

4.1時間預置編碼寄存模組(settime3

4.2減法計數器模組(counter4

4.3數碼管顯示模組(showtime7

4.4時序電路模組(analyse9

4.5解碼器模組(move11

第五部分:總體設計電路圖13

5.1總體(頂層)設計電路圖13

5.2頂層檔案**13

5.3管腳分配圖14

5.4硬體實驗效果圖14

第六部分:課程設計心得體會15

簡易洗衣機控制器設計

1、設計任務與要求

設計乙個洗衣機洗滌程式控制器,控制洗衣機的電動機按下圖所示的規律運**

時間到用兩位數碼管預置洗滌時間(分鐘數),洗滌過程在送入預置時間後開始運轉,洗滌中按倒計時方式對洗滌過程作計時顯示,用led表示電動機的正、反轉,如果定時時間到,則停機並發出音響訊號。

2、總體框圖

run rev pause

time_over

clkk5 start

k6 load

k1 k2 k3 k4

各個部分的具體功能描述如下:

(1)預設時間和編碼電路(settime):接受使用者通過按鈕預置的時間資訊,編碼成八位之後轉給減法計數器。

(2)減法計數器電路(counter):接收編碼之後的預置時間資訊,向電機運轉控制電路傳遞執行訊號,並將預置時間資訊和剩餘時間資訊發給數碼管顯示電路進行實時顯示。

(3)數碼管顯示電路(showtime):接收減法計數器電路傳來的時間資訊,進行實時解碼顯示。

(4)電機運轉時序控制電路(analyse):接收執行起止訊號,安排電機執行狀態並編碼輸出。

(5)解碼器(move):接收電機執行狀態訊號,解碼後實時控制電機的正傳、反轉和暫停。

3、選擇器件

1、pc機一台。

2、cpld/fpga介面卡板:標準配置epf10k10lc84-4介面板,**介面是數字晶元的**介面(digital jtag),主要用於cpld/fpga晶元的資料**。

3、實驗箱:裝有七段數碼管及蜂鳴器等,七段數碼管字形及真值表如下

七段數碼管字形如下:

七段數碼管真值表如下:

4、功能模組

4.1時間預置編碼寄存模組(settime)

1、時間預置編碼寄存模組settime如圖1所示,time_input為通過開發板上撥碼開關k1、k2、k3、k4輸入的訊號,load為輸入確認訊號。本模組將輸入的四位時間訊號編碼成八位二進位制數輸出到減法計數器電路。

圖1 時間預置編碼寄存模組settime

2、**圖

圖2 時間預置編碼寄存模組**圖

用k1、k2、k3、k4給time_input輸入乙個二進位制數0111,讓load有效,輸出time_set為00000111。

3、時間預置編碼寄存模組源**

library ieee;

useuseentity settime is

port

(load:in std_logic;

time_input:in std_logic_vector(3 downto 0);

time_set:out std_logic_vector(7 downto 0)

);end settime;

architecture settime of settime is

signal p1:std_logic_vector(7 downto 0);

begin

process(load)

begin

if(load'event and load='1')

then

case time_input is

when "0000"=>p1<="00000000";

when "0001"=>p1<="00000001";

when "0010"=>p1<="00000010";

when "0011"=>p1<="00000011";

when "0100"=>p1<="00000100";

when "0101"=>p1<="00000101";

when "0110"=>p1<="00000110";

when "0111"=>p1<="00000111";

when "1000"=>p1<="00001000";

when "1001"=>p1<="00001001";

when others=>p1<="00000000";

end case;

end if;

end process;

time_set<=p1;

end settime;

4.2減法計數器模組(counter)

1、減法計數模組counter如圖3所示,本模組中clk為系統時序脈衝訊號,start為系統開始執行的訊號,time_set接收編碼之後的預置時間資訊,向電機運轉控制電路傳遞執行訊號,並將預置時間資訊和剩餘時間資訊發給數碼管顯示電路進行實時顯示。time_remain為輸出到數碼管顯示電路的時間訊號,time_over為系統執行結束訊號,可以用來控制蜂鳴器的通斷。

圖3 減法計數模組

2、**圖

圖4 減法計數模組**圖

3、減法計數模組源程式

library ieee;

useuseentity counter is

port

(clk,start:in std_logic;

time_set:in std_logic_vector(7 downto 0);

time_remain:buffer std_logic_vector(7 downto 0);

time_over:buffer std_logic

);end counter;

architecture counter of counter is

begin

process(clk)

variable time_second:integer range 0 to 59 :=59;

begin

if(clk'event and clk='1')

then

if(start='0')

then

if(time_remain(7 downto 0)=0)

then

time_remain<=time_set;

else

time_remain(7 downto 4)<=time_remain(3 downto 0);

time_remain(3 downto 0)<=time_set(3 downto 0);

end if;

time_second:=59;

time_over<='1';

else

if(time_over='1')

then

我家的洗衣機

五年級我家有一台雙筒洗衣機,它的整體形狀呈長方體,底下有四條粗壯有力的腿,支撐著它的身體。這台洗衣機全身呈灰白色,又光又亮,真像一位披著盔甲的勇士。介紹的很棒!我家的洗衣機容量很大,可以裝6.0千克的衣物。它的上方有乙個進水口和三個開關。進水口是用來往洗衣機裡灌水用的。緊挨著的第乙個開關是排水開關,...

洗衣機的環保妙招

3 電動機轉動時,轉動皮帶發出 噼啪 聲。該故障是由於傳動皮帶鬆弛而引起的。檢修時,可將電動機機座的緊固螺釘擰松,將電動機向遠離波輪軸方向轉動,使傳動皮帶繃緊,再將機座的緊固螺釘擰緊。分色洗衣可以省電 開始洗滌前,將髒的衣物在洗衣粉溶液中浸泡至少15分鐘以上,使洗滌劑與衣物上的油垢起反應,然後再放入...

滾筒洗衣機的選購標準

家用滾筒洗衣機的購置消費者需對滾筒洗衣機的優缺點,不同容量的常規尺寸 購置優質滾筒洗衣機的技巧以及安裝要領有所把握。洗衣機尺寸大全 滾筒洗衣機尺寸 滾筒洗衣機的尺寸也有很多種,不同容量,不同大小的滾筒洗衣機尺寸不一樣 容量在2.1 4.5kg滾筒洗衣機尺寸600 550 600mm 容量在5.6 7...