數位電路課程設計報告

2021-03-14 14:37:45 字數 4787 閱讀 9549

大連理工大學本科實驗報告

題目:數字時鐘及秒錶計時器

課程名稱: 數位電路課程設計

學院(系): 電子資訊與電氣工程

專業: 積體電路與系統

班級: 電集1202

學生姓名: 姚子灝

學號: 201281260

完成日期: 2023年7月13日

成績2014 年 7 月 13日

題目:數字時鐘及秒錶計時器

1 設計要求

一、電子錶部分:

(1)由晶振電路產生1hz的校準秒訊號。

(2)設計乙個有「時」、「分」、「秒」(23小時59分59秒)顯示切且具有校時、校分和清零的功能。

(3)整點報時功能。到達整點時蜂鳴器響一聲,此實驗用 led燈亮一秒代替。

二、 秒錶部分:

(1) 有晶振產生100hz的校準0.01秒訊號。

(2)設計乙個有「時」、「分」、「秒」、「0.1秒」、「0.01秒」(23小時59分59秒99)顯示功能

(3)具有開始計時暫停計時功能和清零功能

三、具有電子錶和秒錶狀態切換。

四、劃出框圖和邏輯電路圖,寫出設計,實驗總結報告。

2 設計分析及系統方案設計

1、 此課程設計主要分為數字時鐘和秒錶計時兩個部分並選擇用二選一資料選擇器來實現兩種功能切換。2

2、 由於時鐘用的是cyclone2開發板上提供的50mhz晶振的頻率,所以數字時鐘和秒錶計時都用到分頻器分頻分別得到1hz和100hz的時鐘頻率。

3、 數字時鐘部分包括分頻部分即分頻器;計時部分,包含模六計數器、模十計數器;選位調節部分,分別對時鐘分鐘和小時部分進行調節,用二選一資料選擇器實現;數字顯示部分,用到6個4-16解碼器,和6個數碼顯示管;整點報時部分,其中報時用led燈閃爍代替;含有清零端開關。

4、 秒錶計時包含分頻器;計時器包含模六計數器,模十計數器,模三計數器;數字顯示器包含有8個4-16解碼器,8個數碼顯示管;計時啟停開關,清零開關。

系統總體結構框圖:

輸入:晶振50mhz,選位開關(0為可調節,1為正常計時),數字鐘清零開關(0為清零),開關切換計時狀態(1為數字計時,0為秒錶計時),秒錶啟停開關(1啟動,0停止),秒錶復位開關(0復位),選擇輸出開關(1輸出數字計時數字,0輸出秒錶計時數字)。

輸出:led燈,數字顯示部分

3系統以及模組硬體電路設計

de2開發板上使用的元件要給出使用管腳編號(用excel**製作):

4 系統的vhdl設計

程式如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity miaobiao is--實體

port(rst:in std_logic;--秒錶復位

clr:in std_logic;--電子錶清零

clk:in std_logic;--輸入時鐘

runstop:in std_logic;--秒錶啟停開關

switch1:in std_logic;--選位分鐘

switch2:in std_logic;--選位小時

switch3:in std_logic;--秒錶電子錶切換

key1:in std_logic;--分鐘調節

key2:in std_logic;--小時調節

led:out std_logic;--報時輸出

p1,q1,p2,q2,p3,q3,p4,q4:out std_logic_vector(6 downto 0));--計時輸出

end;

architecture data of miaobiao is --結構體

signal run:std_logic:='0';

signal clk001s,clk01s,clk1s,clk10s,clk1f,clk10f,clk1h:std_logic;--秒錶計時時鐘

signal clk1snew,clk10sin,clk1f1,clk1fin,clk10fin,clk1h1,clk1hin:std_logic;--電子錶計時時鐘

signal s,g,ms,mg,fs,fg,hg,hs:std_logic_vector(3 downto 0);--計時輸出

signal s1,g1,ms1,mg1,fs1,fg1,hg1,hs1:std_logic_vector(3 downto 0);--秒錶計時輸出

signal s2,g2,ms2,mg2,fs2,fg2,hg2,hs2:std_logic_vector(3 downto 0);--電子錶計時輸出

begin

process(switch3,g1,g2,s1,s2,ms1,ms2,mg1,mg2,fg1,fg2,fs1,fs2,hg1,hg2,hs1,hs2)--電子錶秒錶切換

begin

if switch3='1' then

g<=g1;s<=s1;ms<=ms1;mg<=mg1;fg<=fg1;fs<=fs1;hg<=hg1;hs<=hs1;

else

g<=g2;s<=s2;ms<=ms2;mg<=mg2;fg<=fg2;fs<=fs2;hg<=hg2;hs<=hs2;

end if;

end process;

--秒錶部分

process(clk,rst)--秒錶分頻時鐘

variable counter:integer range 0 to 499999;

begin

if rst='0' then

counter:=0;

elsif(clk='1' and clk'event) then

if counter=499999 then

counter:=0;

else

counter:=counter+1;

end if;

if counter<250000 then

clk001s<='1';

else

clk001s<='0';

end if;

end if;

end process;

process(runstop,rst)--秒錶計停開關

begin

if rst='0' then

run<='0';

elsif runstop'event and runstop='1' then

run<=not run;

end if;

end process;

process(clk001s,run,rst)--秒錶0.01秒位計時

begin

if rst='0' then

g1<="0000";

elsif run='1' then

if clk001s'event and clk001s='1' then

if g1="1001" then

g1<="0000";

else

g1<=g1+1;

end if;

end if;

end if;

if g1="1001" then

clk01s<='1';

else

clk01s<='0';

end if;

end process;

process(clk01s,rst)--秒錶0.1秒位計時

begin

if rst='0' then

s1<="0000";

elsif clk01s'event and clk01s='1' then

if s1="1001" then

s1<="0000";

else

s1<=s1+1;

end if;

if s1="1001" then

clk1s<='1';

else

clk1s<='0';

end if;

end if;

end process;

process(clk1s,rst)--秒位計時

begin

if rst='0' then

mg1<="0000";

elsif clk1s'event and clk1s='1' then

if mg1="1001" then

mg1<="0000";

else

mg1<=mg+1;

end if;

if mg1="1001" then

clk10s<='1';

else

clk10s<='0';

end if;

end if;

end process;

process(clk10s,rst)--10秒位計時

begin

if rst='0' then

ms1<="0000";

elsif clk10s'event and clk10s='1' then

if ms1="0101" then

ms1<="0000";

else

ms1<=ms1+1;

end if;

if ms1="0101" then

clk1f<='1';

else

clk1f<='0';

end if;

end if;

end process;

process(clk1f,rst)--分鐘位計時

begin

if rst='0' then

fg1<="0000";

數位電路課程設計

題目 簡易交通燈控制邏輯電路設計 學校 井岡山大學 學院 電子與資訊工程學院 專業 通訊工程 班級 13通訊 本1 班 學號 姓名 2015.07.05 目錄一 設計任務與要求2 二 方案設計與論證2 方案一3 方案二4 三 單元電路設計5 1 倒計時計數器5 2 黃燈控制電6 3 訊號燈轉換電路7...

數位電路課程設計報告 彩燈

數字邏輯課程設計報告 多路彩燈控制器 多路彩燈控制器 一 實驗目的 1 進一步掌握數位電路課程所學的理論知識。2 熟悉幾種常用整合數字晶元,並掌握其工作原理,進一步學會使用其進行電路設計。3 了解數字系統設計的基本思想和方法,學會科學分析和解決問題。4 培養認真嚴謹的工作作風和實事求是的工作態度。5...

數位電路課程設計報告時鐘設計

課程設計 題目姓名學號 院 系 電子電氣工程學院 班級數位電路課程設計報告 設計課題題目 定時搶答器 黎明大學電子工程系 專業應用電子技術 班級成員學號 小組成員 指導教師 設計時間 題目 定時搶答器 一 設計目的 掌握解碼器 十進位制加 減計數器的邏輯功能和工作原理,設計可預置時間的定時電路 分析...