數位電路課程設計報告 彩燈

2021-05-12 01:03:19 字數 4301 閱讀 8784

數字邏輯課程設計報告

——多路彩燈控制器

多路彩燈控制器

一.實驗目的

1.進一步掌握數位電路課程所學的理論知識。

2.熟悉幾種常用整合數字晶元,並掌握其工作原理,進一步學會使用其進行電路設計。

3.了解數字系統設計的基本思想和方法,學會科學分析和解決問題。

4.培養認真嚴謹的工作作風和實事求是的工作態度。

5.作為課程實驗與畢業設計的過度,課程設計為兩者提供了乙個橋梁。

二.設計課題與要求.

1.彩燈控制器功能概述:

實現彩燈控制的方法很多,如eprom程式設計、ram程式設計、單板機、微控制器等,都可以組成大型彩燈控制系統。因為本次實習要求設計的彩燈路數較少,且花型變換較為簡單,故採用移位暫存器型彩燈控制電路。

2. 要求:

(1)彩燈控制器設計要求:

1.設計乙個8路移存型彩燈控制器,彩燈採用led模擬.

2.花型在兩種節拍之間交替進行.

3.花型有明顯的規律.

4.能演示三種(或以上)不同類的花型.

(2)課程設計的總體要求

1.設計電路實現題目要求;

2.電路在功能相當的情況下設計越簡單越好;

3. 注意佈線,要直角連線,選最短路徑,不要相互交叉

三.總體方案的設計

1.方案設計

將整體電路分為四塊。第一塊實現花型的演示;第二塊實現花型的控制;第三塊實現節拍控制;第四塊實現時鐘訊號的產生。這樣設計,其優點在於:

設計思想比較簡單。元件種類使用少,且都較熟悉易於組裝電路。缺點則是:

中間單元電路連線過於繁多,容易出錯。且可能出現線與關係。要避免這些,則勢必造成閘電路使用過多。

導致電路不穩定,抗干擾能力下降。

主體框圖如下:

3.方案實施

1)器材:電壓源,萬用表,多功能手鉗。

2)器件見下表。

3)設計思路

1首先利用555定時器電路產生t=1s的脈衝cp.

2.因為要求實現節拍速度的自動變換,所以是產生的cp通過 74ls74(d觸發器),產生二分頻脈衝cp.

3.為了實現自動變換節拍速度,所以將一分頻和二分頻兩個cp訊號接入74ls151(八選一數選器),利用74ls151(八選一數選器)來選擇相應週期的脈衝。

4.利用選擇後的脈衝接入2片74ls161(模64計數器),並通過2 片161級聯,完成4種花色(共32個狀態)的計數。

5.根據花色的顯示的二進位製碼與161計數狀態的對應關係計算出74ls194(移位暫存器)中s1、s0和sr、sl的接入,來調節移位方向和移動訊號。

6.將194的8個輸出端與發光二極體正極連線,二極體負極連線乙個限流電阻後接地。

系統結構圖如下:

1scp到d0選擇後的cp

1scp

2scp到d1頻率選擇訊號

由輸出訊號組合成的控制訊號

輸出4.各個單元電路設計

1.時鐘脈衝電路

(1)脈衝產生電路

通過555定時器與2個電阻和電容間的組合來實現週期為1s cp脈衝訊號。

(2)分頻率電路設計

將555定時器產生的1s脈衝訊號接入 74ls74,利用d觸發器的cp上公升沿觸發方式使q輸出週期為原cp2倍的訊號。

利用151三個控制端組成的二進位制碼位000(0)至111(7),來調節151的輸出端y將對應輸入端dn(n=0,1…,7)上接入的訊號輸出。因此可以達到選頻的作用。

令74的vcc,clr,pr都接高電平,將^q的輸出接到d端,q端的輸出接到151的d1端。令151的d0,d2,d3,d4,d5,d6,d7,b,c,g』,gnd接低電平,vcc接高電平,d0接時鐘訊號的cp脈衝,a端接由64技術器的qb輸入。

所以y端的輸出就為:y=cp·^a+q·a

由d觸發器具有記憶功能,記錄上乙個狀態,所以在每乙個cp脈衝的上公升沿,q輸出為上一次的記錄(即乙個脈衝)。也就比時鐘訊號電路的cp脈衝慢了一拍。所以通過a為0或1選擇y端輸出的脈衝的頻率。

a端接的是161的高位片的qg即當到達第32拍時qb(2)為1接下來的33-64拍為2s脈衝輸出。

電路圖如下:

2.模64計數器(花型控制電路)

將兩片161高(2)低(1)片,將低位片ent,enp接高電平,高位片ent接低位co,enp接高電平。高位片得'clk接qb(2)用於清零(介於本電路沒有用到高位片得qc,qd埠,本電路此步驟省略),兩片161 load,gnd接地,實現級聯的模64計數器。

電路圖如下:

3.花型顯示電路

花型顯示由161分別控制兩片74ls194實現

花型如下圖:

經分析可知:因有8路彩燈,所以需兩個移存器。

花型(一):前乙個移存器的s1為0,s0為1。前4個節拍右移置入1,後4個節拍右移置入0。另乙個移存器的s1為0,s0為1,前4個節拍右移置入1,後4個節拍右移置入0。

花型(二):前乙個移存器的s1為0,s0為1。前4個節拍右移置入1,後4個節拍右移置入0。後乙個移存器的s1為1,s0為0,前4個節拍左移置入1,後4個節拍左移置入0。

花型(三):前乙個移存器的s1為1,s0為0,前4個節拍左移置入1,後4個節拍左移置入0。後乙個移存器的s1為0,s0為1,前4個節拍右移置入1,後4個節拍右移置入0。

花型(四):前乙個移存器的s1為1,s0為0,前4個節拍左移置入1,後4個節拍左移置入0。後乙個移存器的s1為1,s0為0,前4個節拍左移置入1,後4個節拍左移置入0。

結合計數器的輸出,可得真值表如下:

電路圖如下:

五.總體電路圖

七.問題及解決方法

我的數位電路課程設計總共用了10天完成的,這10天每一天都有所收穫,都有所進步。第一天聽實驗老師講解了實驗內容起初對自己要設計的東西一點思路都沒有,聽過之後有了大概的概念。所以第一天和第二天這兩天把整體的電路設計出來,並按照實際的整合器件畫出電路圖。

第三天便開始在麵包板上連線電路,連完時鐘電路後,滿以為會很成功,因為我的電路連得很簡潔。結果是加電後led二極體居然不亮,檢查電路沒什麼問題,我開始不知所措,於是去諮詢老師,老師建議我把佈線再和圖示對照檢查一遍,並告訴我一些注意事項,然後我開始按照555的腳針順序逐一排查,後面發現是有兩根接地的線沒有連到電源負極。我依據老師所講的「連線一塊兒檢查一塊兒」的思想,經過連線—檢測—排查的工作,順利連好了節拍速度控制電路和計數電路。

接下來就是花型控制電路的組裝,在電路組裝過程中,遇到的最大問題是,晶元分布不夠合理,無法很好的佈線。於是在分析了我的設計後計算了要用晶元的個數和個晶元之間的關係,按照各個控制電路的走向較合理的插好了晶元。其次就是佈線,因為要求不准交叉,且橫平豎直,所以在保證連通的情況下,在布線上也下了不少工夫,我想我是用心考慮過的,而且盡力做到我認為很合理的佈線,但是在與非門電路上遇到了問題。

因為電路中涉及異或門,必須用到三個與非,但是74ls00的管腳分布很糾結,最後74ls00周圍全是繞線。

在星期四的時候完成了電路板的連線,周五早上開始測試,發現電路花型沒有按照所預想的方式移動,由於節拍速度控制電路和計數電路都正常工作,所以初步判斷問題出在花型控制電路上,因為兩片194晶元之間並沒有聯絡,所以為了方便準確,我一片一片的進行檢查,在檢查過程中,我運用「控制變數法」的思想,先給定s0,s1的值判斷左移/右移的值是否有問題,再給定sr、sl的值判斷左移右移邏輯是否有問題,發現我把晶元的高低位搞反了,修正過後,花型移動正確。

八.分析和總結。

本次課程設計我雖然用了6天時間就全部做完,但整個過程我都認真的完成了,而且從中收穫很多。可以總結為以下的幾點:

1,對數位電路知識的鞏固與提高

這次課程設計主要是運用數位電路邏輯設計的一些相關知識,在整個實習過程中,都離不開對數位電路課程知識的再學習。我在最開始,就先將實習用到的知識通過翻閱數電書回顧了一遍(這也是對這門課的複習,給以後的複習備考減少了很多負擔),這樣的回顧讓我對知識的理解更加透徹,對後來的快速設計起了很好的鋪墊作用。

2,學會了理論聯絡實際

課程設計,通過選擇的題目,根據要求,運用所學知識將其付諸實踐來完成。這並不是在課堂上的單純聽懂,或者課後看書過程中的深入理解,這需要的是一種理論聯絡實踐的能力。

3.對電路設計有了更深了理解

電路設計的時候必須考慮到製作電路的過程,因為只有電路設計圖變成了實物電路設計才有意義,所以設計的時候應該盡量的減少器件,這樣不但可以減少佈線的難度,而且節約成本。

4.加深了自己的電路製作水平

這次課程設計實際完成了三次電路製作,從第一次的兩天連線完成,到第二次的一天完成,最後一次直接在原電路上更改只用了半個小時。在這個過程中,通過對電路的連線也懂得了如何通過設計的分析對所連電路的整體布局,如何更好的放置晶元在最合適的位置。在導線的連線上,如何選擇導線走向是關鍵,我們應該盡量保證所連電路的簡捷,寧短勿長,合理佈線。

乙個完美的作品不僅要能很好的完成要求實現功能,還要在感官上給人美的享受。所以站在美的角度對自己的電路進行改良是很必要的。

數位電路課程設計報告

大連理工大學本科實驗報告 題目 數字時鐘及秒錶計時器 課程名稱 數位電路課程設計 學院 系 電子資訊與電氣工程 專業 積體電路與系統 班級 電集1202 學生姓名 姚子灝 學號 201281260 完成日期 2014年7月13日 成績2014 年 7 月 13日 題目 數字時鐘及秒錶計時器 1 設計...

數位電路課程設計

題目 簡易交通燈控制邏輯電路設計 學校 井岡山大學 學院 電子與資訊工程學院 專業 通訊工程 班級 13通訊 本1 班 學號 姓名 2015.07.05 目錄一 設計任務與要求2 二 方案設計與論證2 方案一3 方案二4 三 單元電路設計5 1 倒計時計數器5 2 黃燈控制電6 3 訊號燈轉換電路7...

數位電路課程設計報告時鐘設計

課程設計 題目姓名學號 院 系 電子電氣工程學院 班級數位電路課程設計報告 設計課題題目 定時搶答器 黎明大學電子工程系 專業應用電子技術 班級成員學號 小組成員 指導教師 設計時間 題目 定時搶答器 一 設計目的 掌握解碼器 十進位制加 減計數器的邏輯功能和工作原理,設計可預置時間的定時電路 分析...