多功能數字鐘的設計

2023-01-18 01:42:02 字數 480 閱讀 3050

課題:多功能數字鐘的設計

一、 設計任務

使用硬體描述語言,在cpld/fpga上實現乙個多功能數字鐘。

二、 設計要求

除按鍵、led、揚聲器、時鐘訊號外,整個數字鐘的功能要求在一塊晶元上實現。

a) 具有時,分,秒,計數顯示功能,以24小時迴圈計時;

b) 具有時間清零功能;

c) 具有小時、分鐘和秒鐘調整功能(個位和十位分開調或合起來調)。

d) 具有鬧鐘功能,能預設鬧鐘時間,精確到秒。

e) 整個數字鐘只設乙個時鐘輸入埠,所需不同頻率訊號在內部分頻實現。(led掃瞄頻率設為50hz以上)

三、 時間安排

1. 整體方案制定,模組劃分(1天)

2. hdl程式設計及除錯(4天)

3. 檢驗及編寫設計報告(1天)

四、參考資料

1、《eda技術實用教程》 潘松電子科技大學出版社;

2、實驗箱使用說明;

多功能數字鐘報告及VHDL源程式

一 系統功能概述 功能描述 1.完成秒 分 時的依次顯示並正確計數 2.實現整點報時,有揚聲器發出報時聲音 3.時間設定,在計時狀態下按下set鍵,進入校時狀態,再按下s1鍵實現秒校驗,按下s2鍵實現分計時,按下s3鍵實現時校驗。4.實現12 24小時轉換,按下change鍵,進入24小時計時 二 ...

數字鐘設計報告

數電設計報告 課程名稱電子技術課程設計 課題名稱多功能數字鐘 專業電氣工程及其自動化 班級電氣109 班 學號姓名andry 指導老師李延平 審批2012年 5月 1日 目錄1.設計的任務與要求 2 1.1數字鐘的設計目的 2 1.2數字鐘的設計要求 2 1.3數字電子鐘的基本原理 2 2.實驗器材...

基於行列鍵盤的可調數字鐘設計

微控制器原理及應用 作業 3 題目基於行列鍵盤的可調數字鐘設計 院系電子工程學院 專業班級 學號姓名 日期 2015 年 04 月 19 日 注 所有作業均要求獨立完成,不可抄襲,如有雷同一律記0分 1.簡述獨立按鍵介面及工作原理,按鍵狀態判斷方法 10分 獨立式按鍵是指用i 0口線構成的單個按鍵電...