數電隨課實驗報告

2022-08-15 19:18:05 字數 1596 閱讀 7436

數字電子技術實驗報告(5號黑體字)

學院班級: 131201

學生學號: 13120038

學生姓名: 尚寧

同作者: 翟翼卓

實驗日期:2023年4月27日

實驗題目: 組合邏輯研究(一

一、實驗目的:

1.了解用ssi器件實現簡單組合邏輯電路的方法。

2.了解編碼、解碼與顯示的工作原理。

3.掌握用msi器件實現四位全加器的方法,並掌握全加器的應用。

4.熟悉四位數字比較器的原理,掌握四位數字比較器的應用。

二、實驗環境

1. 數位電路實驗板一塊

2. 導線若干

3. 74hc(ls)00(四二輸入與非門) 1片

4. 74hc(ls)283(4位二進位制全加器) 1片

5. 74hc(ls)10(三三輸入與非門) 1片

三、實驗內容及實驗原理

(一)實驗原理

組合邏輯電路是數位電路中最常見的邏輯電路之一。組合邏輯電路的特點,就是在任意時刻電路的輸出僅取決於該時刻的輸入訊號,而與訊號作用前電路所處的狀態無關。本實驗是根據給定的邏輯功能,設計出實現這些功能的組合邏輯電路。

所謂邏輯電路的分析,就是找出給定邏輯電路輸出和輸入之間的邏輯關係,並指出電路的邏輯功能。分析過程一般按下列步驟進行:

① 根據給定的邏輯電路,從輸入端開始,逐級推導出輸出端的邏輯函式表示式。

②根據輸出函式表示式列出真值表。

③用文字概括出電路的邏輯功能。

實驗用器件管腳介紹:

1、74hc(ls)00(四二輸入與非門)管腳如下圖所示。

2、74hc(ls)10(三三輸入與非門)管腳如下圖所示。

3、74hc(ls)283(4位二進位制全加器)管腳如下圖所示。

(二)實驗內容

1.按照p104圖4-1-3連線實驗線路,輸入加邏輯開關,輸出加led顯示器,測試三變數多數表決器的功能,並記錄真值表。

真值表卡諾圖

圖4-1-3 用閘電路實現的多數表決電路

2.用四2輸入異或門74ls86和四2輸入與非門74ls00組成一位全加器電路,輸入加邏輯開關,輸出加led顯示器,測試其功能,並記錄真值表。

真值表卡諾圖

sici+1

圖5-1 一位全加器電路

圖5-1所示電路是由四2輸入與非門74ls00和四2輸入異或門74ls86組成的一位全加器電路。此電路可以實現兩個一位二進位制數 ai 和bi 相加,並考慮來自低一位的進製 ci,輸出 si 為本位和,ci-1為本位向高一位的進製。用邏輯表示式可表示為

si=aibici

ci+1=(aibi)ci+aibi

3.用msi器件74ls283實現四位全加器電路,用解碼、顯示電路顯示其全加和,並將結果填入表5.4中。

表5.4

4、實驗結果及其分析

見附錄。

五、心得體會與建議

1、該實驗設計的邏輯電路測出的真值表與實驗原理所給出的真值表相同,所以實驗設計是合理的。

2、實驗中應掌握74ls00,74ls10,74hc283晶元之間的連線,同時應該掌握其工作原理。

3、加深對書本知識的理解。

數電實驗報告

數位電路技術基礎實驗 報告班級 學號 姓名 學院 實驗一組合邏輯電路分析 一 實驗目的 熟悉用74ls00和74ls20元件利用與非門電路設計組合電路的原理和方法步驟 二 實驗內容 實驗一 圖1 1 實驗一的邏輯關係圖的真值表如下 所示 真值表說明此邏輯電路圖實現的是與活功能。實驗二 密碼鎖的開鎖條...

數電實驗報告

課程名稱 數字電子技術基礎實驗指導老師成績 實驗名稱 quartus ii使用練習 二進位制加法器設計實驗型別 基本訓練實驗 同組學生姓名 一 實驗目的和要求 必填 二 實驗內容和原理 必填 三 主要儀器裝置 必填 四 操作方法和實驗步驟 五 實驗資料記錄和處理 六 實驗結果與分析 必填 七 討論 ...

數電實驗報告

數位電路課程設計報告書 題目 彩燈控制器的設計 學號 010900201 一 實驗目的 1 掌握電路板焊接技術 2 學習除錯系統電路,提高實驗技能 3 了解彩燈控制器的工作原理及其結構。二 實驗內容 1 設計任務 節目的彩燈五彩繽紛,彩燈的控制電路種類繁多。用移位暫存器為核心元件設計製作乙個8路彩燈...